METAPUF: A challenge response pair generator

Abhishek Kumar, Suman Lata Tripathi, Ravi Shankar Mishra

Abstract


Physically unclonable function (PUF) is a hardware security module preferred for hardware feature based random number and secret key generation. Security of a cryptographic system relies on the quality of the challenge-response pair, it is necessary that the key generation mechanism must unpredictable and its response should constant under different operating condition. Metastable state in CMOS latch is undesirable since it response becomes unpredictable, this feature used in this work to generate a unique response. A feedback mechanism is developed which forces the latch into the metastable region; after metastable state, latch settle to high or state depends on circuit internal condition and noise which cannot be predicted. Obtained inter hamming variation for 8 PUF is 51% and average intra hamming distance is 99.76% with supply voltage variation and 96.22% with temperature variation.

Keywords


Physically unclonable function; Metastable; CMOS latch; Challenge-Response; Unpredictable

Full Text:

PDF

References


R. G. suh and S devadas,“Physically unclonable functions for device authentication and Response generation” Proceeding of ACM/IEEE series automation conference pp. 9–14, 2007

S Kumar, J guajardo, R maes, GJ schrijen and P tuyls,“The Butterfly PUF: Protecting IP on Every FPGA” Proceedings of the IEEE international workshop on hardware-oriented security and trust, pp. 67–70,2008

Erdinc Ozturk, Ghaith Hammouri, and Berk Sunar, “Physically Unclonable Function with Tristate Buffers” IEEE International Symposium on Circuits and Systems, pp 3194 – 3197, 2008

Srinivas Devadas, Edward Suh, Sid Paral, Richard Sowell, Tom Ziola and Vivek Khandelwal, “Design and Implementation of PUF-Based Unclonable RFID ICs for Anti-Counterfeiting and Security Applications” IEEE International Conference on RFID pp 58-64, 2008

Abhranail Maita, Raghunandan, Anand Reddy and Patric Schamont, “Physical Unclonable Function and True Number Generator: A Compact and Scalable Implementation” Proceeding of the 19th ACM Great Lakes Symposium on VLSI, PP 425-428, 2009

Eiroa, S.; Baturone, I. ; Acosta, Antonio José ; Dávila, JorgeJacobs and C.P. Bean, “Using physically unclonable functions for hardware authentication: A survey,” Proceedings XXV Conference on Design of Circuits and Integrated Systems, pp 1-6, 2010

A maiti, I kim and P schaumont , “A robust physical unclonable function with enhanced challenge-response set” IEEE Transaction on information forensics security vol. 7, no. 1 pp. 333–345, 2012

Masoud Rostami, Farinaz Koushanfar, and Ramesh Karr, “A Primer on Hardware Security: Models, Methods, and Metrics” Proceedings of the IEEE Vol 102 No 8, pp 1283-1295, 2014

Miodrag Potkonjak and Vishwa Goudar, “Public Physical Unclonable Functions” Proceedings of the IEEE, Vol.102,No.8 pp 1142-1156, 2014

Lilian Bossuet, Xuan Thuy Ngo, Zouha Cherif and Viktor Fischer,“A PUF based on a transient effect ring oscillator and insensitive to locking phenomenon” IEEE Transactions on Emerging Topics in Computing, Vol 2, Issue: 1, pp 30-36, 2014

Ji-Liang Zhang, Gang Qu, Yong-Qiang Lv and Qiang Zhou, “A Survey on Silicon PUFs and Recent Advances in Ring Oscillator PUFs” Journal of Computer Science and Technology, Vol29(4) pp 664–678, 2014

Sauvagya Ranjan Sahoo, Sudeendra Kumar and Kamalakanta Mahapatra,“A Novel ROPUF for Hardware Security” IEEE International Symposium on Nan electronic and Information Systems, pp 320-324, 2015

Yier Jin, “Introduction to Hardware Security” Electronics letter Vol4 pp 763-784, 2015

Abdelkarim Cherkaoui, Lilian Bossuetyand C´edric Marchand,“Design, Evaluation and Optimization of Physical Unclonable Functions based on Transient Effect Ring Oscillators” IEEE Transaction on Information, Forensic and Security ,pp 1291-1305, 2015

Abhranil Maiti and Patrick Schaumont,“Improved Ring Oscillator PUF: An FPGA-friendly secure primitive” Journal of cryptography, vol24 pp 375-397, 2011

Yuan Cao, Le Zhang, Chip-Hong Chang and Shoushun Chen,“A Low-Powers Hybrid RO PUF With Improved Thermal Stability for Lightweight Applications” IEEE Transaction on Computer-Aided Design of Integrated Circuits and System, Vol 34 No 7, pp 1143-1147,2015

J. W. Lee, Daihyun Lim, B. Gassend, G.E. Suh, M. van Dijk and S. Devadas,“A technique to build a Response in integrated circuits for identification and authentication application,” in Proc. Symp. VLSI circuits, Honolulu, HI, USA, pp. 176–179, 2004

Zouha Cherif Jouini, Jean-Luc Danger and Lilian Bossuet,“Characterization of Physically Unclonable Functions at Design Stage” Colloque du GDR SoC-SiP, Paris, France , 2012

Paolo Maffezzoni; Luca Daniel,“Exploiting Oscillator Arrays as Randomness Sources for Cryptographic Applications” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Volume: PP, Issue: 99, pp 1-1, 2017

Bertrand Cambou, “An XOR Data compiler: combine with a physical unclonable function for true random number generator” Computing Conference. DOI 10.1109/SAI.2017.8252190, 2018




DOI: http://dx.doi.org/10.21533/pen.v6i2.176

Refbacks

  • There are currently no refbacks.


Copyright (c) 2018 ABHISHEK KUMAR, Suman Lata Tripathi, Ravi Shankar Mishra

Creative Commons License
This work is licensed under a Creative Commons Attribution 4.0 International License.

ISSN: 2303-4521

Digital Object Identifier DOI: 10.21533/pen

Creative Commons License
This work is licensed under a Creative Commons Attribution 4.0 International License